WordPress database error: [Table './meandr_base/anzpz_usermeta' is marked as crashed and last (automatic?) repair failed]
SELECT user_id, meta_key, meta_value FROM anzpz_usermeta WHERE user_id IN (1) ORDER BY umeta_id ASC

11

Kit AVR ZX Spectrum V2_0 (Description, Assembly instructions, instructions for programming)

1. OverviewAVR ZX Spectrum V2_0The described device is a further development of ZXSpectrum on microcontrollers AVRV1_0 already submitted earlier. As earlier is a software-hardware emulator of the world famous to this day PC ZXSpectrum.

Specifications:

  • Screen resolution: 256 x 192 dots;
  • Familiarity matrix screen: 32 x 24;
  • The number of colors on familiarity: 2;
  • The number of colors display area: 8;
  • The number of colors of border: 8;
  • The number of gradations of brightness for each color: 2;
  • Equivalent CPU frequency: 2,333 MHz;
  • Ports I/o: 0xFE, 0x7FFD, 0xFFFD, 0xBFFD;
  • Keyboard interface: PS/2;
  • The number of keys involved: 82;
  • The number of audio channels: 4;
  • The list of audio channels: left AY8910, right AY8910, average AY8910, beeper;
  • Video outputs: b & W output, RGB output, a separate sync output;
  • Support upload/download "on tape": a;
  • Additional device I/o: micro-SD card;
  • Supported ZXSpectrum: Pentagon 128 K, 128 K ZXSpectrum, ZXSpectrum48 K ZXSpectrum+2, ZXSpectrum+3, K ZXSpectrum48` 2006; OPEN SE BASIC 128 K 48 K OPENSEBASIC,
  • Additional operating system: SDDOS;
  • File system: FAT32;
  • Bus connector input/output: available;
  • Design: double-sided circuit Board 140 x 22 mm, installation inside the keyboard or in a separate enclosure;
  • The power of the unit: connector a mini-USB"F", voltage of +5 V.

As you can see from the technical specifications, version V2_0 from its predecessor, a number of design, hardware and software improvements.

  1. The presence of the SD card and disk operating system SDDOS running the FAT32 file system allows you to conveniently prepare the files on IBM-compatible PC, recording them on the memory card, and instantly run them in SDDOS;
  2. Клавиатура теперь значительно расширена. Полностью задействована дополнительная клавиатура, клавиши F1…F12, «-», «+», «[», «]», «:», «/», «<», «>», «”», что предоставляет значительные удобства;
  3. Possibility of installation of connector to connect the keyboard to the Board. What makes the design more convenient locations of any Board inside the housing.
  4. Emulator music coprocessor AY8910(12) no longer has soldered in the vias and joined to the system bus connector;
  5. Derive separate connectors for the external programming of microcontrollers ATMega128-16AU;
  6. The power is now performed via a connector mini-USB mounted on the Board, which makes it possible to use to power the device conventional chargers and power supplies for many of today's gadgets;
  7. The CPU is now oriented correctly on the Board;

2. The schematic circuit diagram

Diagram of the device shown in figure 1.

The device can identify the following functional blocks:

  • блок центрального процессора собран на элементах D2, C2, C2, R17…R19, R26, C10…C13, XS3, XP2;
  • the keyboard controller is made for the elements D4, C4, C6, BQ1, R11, R17, R26, XS1;
  • блок видеопроцессора выполнен на элементах D1, C1, R1…R8, XP1;
  • блок формирования видеосигнала реализован на элементах D3, C5, R9, R12…R41, VT1…VT5, VD1, VD2, K1…K7;
  • блок ОЗУ со страничной организацией выполнен на элементах D5…D7, C7…C9, VD1, VD2;
  • блок эмулятора музыкального сопроцессора реализован на элементах D8, C17…C24, R43…R46, BQ2, XS2 XS6, K8, K9;
  • the circuit unit includes elements DA1, C14, C15, XS5.

Figure 1 – electrical schematic diagram AVRZXSpectrumV2_0

scheme

Fig.1.

XS4 connector is designed to connect micri SD memory card.

As can be seen from the diagram, the device is implemented on eight circuits. All eight of the circuits operate from a voltage supply +5 V, which is fed through a connector mini-USB XS5. To supply the micro-SD card requires 3.3 V voltage, which is generated by the voltage regulator DA1 ZR78L033G (possible replacement – L78L33ACUTR, SOT-89).

We consider separately the principle of operation of each functional unit.

A central processing unit executed on the microcontroller D2 ATMega128-16AU (TQFP64 package). It performs the task of emulation of Zilog Z80 microprocessor and ports of input/output. The Z80 emulated Central processor, located in the FLASH memory of the microcontroller with the physical address 0X8000 pages 16 To each. Thus, from this address when you compile the project you should place your ROM files ROM emulated ZX-Spectrum-compatible machines. After the power supply is initializing the internal devices of the microcontroller used in this work, the port configuration I/o of the microcontroller, the formation in the RAM of the microcontroller of the tables used in emulation commands Z80. Further, there is a cyclical process of checking the masked interrupt fetching emulated instructions, increment a software counter, transition, and executing the emulation program of the selected instruction and returning to start the cycle. If you have permitted masked interrupts a transition to its program processing.

A masked interrupt signal (signal MINT) comes to the CPU from the GPU D1 with a frequency of vertical scan – 50 Hz. For handling use input AIN the analog comparator controller, since the interruption of the analog comparator you can quickly check the sample before the next instruction. Also it is easy to enable and disable, which is used in emulation commands EI and DI.

Обращение к внешнему ОЗУ ЦП производится стандартным образом через внешний интерфейс памяти. В начале цикла обращения к внешнему ОЗУ микроконтроллер выставляет сигнал RBBCP, адресуемый видеопроцессору (активный низкий уровень). Этот сигнал «предупреждает» видеопроцессор о том, что ЦП занял внешнее ОЗУ для операции чтения/записи. Далее ЦП открывает внешний интерфейс памяти и выполняет операцию чтения или записи. При этом физический адрес ОЗУ определён сигналами A15_CP, A14_CP, A13…A8, AD7…AD0, MUXA17…MUXA14. Младший байт адреса выставляется для регистра-защёлки младшего адреса D6 на линиях AD7…AD0 и переписываются в него по нарастающем фронту сигнала ALE контроллера. Далее происходит собственно операция чтения/записи ОЗУ, При чтении активируется сигнал ~RD, а при записи – сигнал ~WR контроллера. После выполнения операции чтения/записи контроллер закрывает интерфейс с внешней памятью и деактивирует (устанавливает в «1») сигнал RBBCP.

При эмуляции операции записи в порт 0xFE на линиях порта D микроконтроллера (сигналы BORDH:BORDM:BORDL) выставляется двоичный код цвета бордюра, который сохраняется на этих линиях до очередной операции записи в порт 0xFE. При этом сигнал TOTAPE устанавливается в соответствии с битом 3 числа, записываемого в порт, а сигнал BEEP (звук бипера) в соответствии с битом 4 этого числа. При эмуляции операции чтения из порта 0XFE происходит запрос клавиатурного контроллера D4. Запрос происходит формированием сигнала внешнего прерывания на линии KEYMH(по заднему фронту этого сигнала). При этом происходит активация сигнала RBBCP для запрещения операций чтения ОЗУ видеопроцессором на время выставления контроллеру клавиатуры кода опроса, установка кода опроса клавиатуры на линиях A15_CP, A14_CP, A13…A8. В ответ контроллер клавиатуры выставляет 5-битный код ответа на линиях KEYH:KEYMH:KEYML:KEYL порта F микроконтроллера. Этот код читается ЦП и участвует в формировании 8-бит данных операции чтения порта 0XFE. Биты 7 и 5 при этом устанавливаются в «1», а бит 6 определяется старшим битом данных кода аналого-цифрового преобразователя контроллера, подключенного к разряду 6 порта F. АЦП контроллера настроен на величину опорного напряжения, равного напряжению питания. Таким образом, если уровень сигнала FROMTAPE/ на выводе PF6 менее половины напряжения питания, то разряд 6 порта 0xFE при чтении сбросится в 0, в противном случае – установится в 1. Аппаратно напряжение на этом выводе установлено равным половине напряжения питания при помощи резистивного делителя R18, R19.

При эмуляции операции записи в порт адреса музыкального сопроцессора 0XFFFDкод адреса выставляется на шину A15_CP, A14_CP, A13…A8 при активном уровне (0) сигнала RBBCP, далее происходит активация (установка в «1») сигналов BC1 и BDIR, которые адресуются эмулятору музыкального сопроцессора на время, необходимое для его работы. После этого происходит деактивация сигналов RBBCP, BC1 и BDIR.

Similarly, a write operation to the data port of the coprocessor musical 0XBFFD the only difference is that the activation signal BC1 is not happening.

При операции записи в порт 0X7FFD (порт только для записи) три младших бита (2, 1, 0) байта участвуют в формировании номера страницы ОЗУ, подключаемую в область адресов 0XC000-0XFFFF. Номер страницы ОЗУ при этом определяется сигналами MUXA17…MUXA14, адресуемыми страничному мультиплексору D7. Бит 3 участвует в выборе обычного («0») или теневого «1») экрана. При этом при выборе режима «обычного экрана» сигналы A15_CP и A14_CP устанавливаются в «0» и «1» соответственно, а при выборе режима «теневой экран» – в нули. Бит 4 определяет выбор страницы ПЗУ. Значение «0» соответствует младшей странице ПЗУ, значение «2» – старшей. Запись в бит 5 значения «1» запрещает в дальнейшем возможность записи в этот порт.

For in-circuit programming of the CPU in the circuit of connector XP2. Signals PDI2, PDO2, SCK2 and ~RESET2 is used in in-circuit programming and is equivalent to the signals MOSI, MISO, Ski ~RESET programmer. Also signals PDI2, PDO2, SCK2 along with the signal ~CS_SD used as SPI interface with micro-SD memory card, and is equivalent to the signals SD_IN (input) SD_OUT (output), CLK (sync data) and CS (choice card) - interface micro-SD card. Agreement levels occurs in software, a logical "1" at the output of the controller SCK2 and PDO2 is formed by including a pullup resistor.

Keyboard Controller выполнен на микроконтроллере D4 ATTINY2313A-SU (возможная замена – ATTINY2313-20SU), корпус SOIC-20. Выполняет задачу эмуляции клавиатуры (порта 0XFE при операции чтения), реализует интерфейс PS/2 с клавиатурой, а также является источником тактовых импульсов для ЦП D2 и видеопроцессора D1.

Timing processor comes from an external quartz BQ1 resonator 20 MHz. Controller is a limiting frequency of work in accordance with its specifications. The PD2 pin is configured in the fuse bits when programming the output clock frequency for clocking the CPU and GPU (signal MHz20), for which the frequency is higher than guaranteed in accordance with the original technical description (datasheet), so that they work in overclock mode,and as practice shows, quite steadily.

При подачи питания происходит инициализация контроллера, конфигурация и начальная установка его портов ввода/вывода. Сигналы KEYDATA (данные) и KEYCLK (синхронизация данных) соответствуют интерфейсу PS/2 и являются входными для микроконтроллера. В основном режиме работы контроллер занят чтением скан-кодов клавиатуры и формированием в восьми о внутренних регистрах COLOMN7…COLOMN0 матрицы состояния клавиатуры. Также контроллер формирует эмуляцию дополнительных клавиш путём установкой в регистрах матрицы клавиатуры одновременного нажатия сразу двух клавиш, одна из которых Capsshift или Symbolshift. При этом контролер работает в режиме разрешённого внешнего прерывания INT1 (сигнал KEYMH) по спадающему фронту этого сигнала. В режиме прерывания от ЦП D2 уходит в подпрограмму обработки прерывания, читает с линий A15_CP, A14_CP, A13…A8 (PORTB) код опроса клавиатуры, формирует код ответа путём объединения (операция AND) данных соответствующих (опрашиваемых) регистров COLOMN7…COLOMN0). При этом в объединении данных участвует тот регистр, бит данных для которого сброшен в коде опроса клавиатуры. Сформированный код ответа выставляется в PORTB (линии KEYH:KEYMH:KEYML:KEYL) для ЦП.

Video processor unit executed on the microcontroller D1 ATMega128-16AU (TQFP64 package). Its task is to read video data from the video data area of the RAM, reading from RAM on the attributes of color, the formation of the video signal, synchronization signal, setting border color, and the formation of a flicker (Flash) familiarity with unit value of bit 7 of the attribute.

After power up, it initializes the internals of the controller and the configuration of the port input/output.

The formation of a pulse of lower case and personnel clock pulses (SYNC signal) is the method of PWM. To do this, use an internal 16-the TCNT1 razriadnyi.

Чтение видеоданных из ОЗУ для очередной видеостроки происходит во время прямого хода луча после вывода текущей видеостроки и установки цвета бордюра. При этом происходит анализ сигнала RBBCP, разрешающего чтение из ОЗУ. Если этот сигнал активен («0»), то происходит ожидание его деактивации. Сразу после этого происходит чтение байта данных из ОЗУ и завершающий анализ сигнала RBBCP. Если RBBCPснова оказался активным, то делается заключение, что байт данных может быть недостоверен, и весь цикл его чтения повторяется. Следует сказать, что видеопроцессор подключен к блоку ОЗУ через токоограничительные резисторы R1…R7, что предотвращает аппаратный конфликт ЦП и видеопроцессора в случае, если произойдёт одновременное обращение мх к внешнему ОЗУ.

Read bytes of video data is stored in the corresponding General purpose register and is used when the familiarity of the video line.

Как делается понятным, достоверными будут только те прочтённые данные, которые были прочитаны в отсутствии операций чтения/записи ЦП внешнего ОЗУ. При этом интерфейс со стороны ЦП внешней памяти закрыт, и линии A15_cp и A14_CP установлены ЦП в соответствии с выбранным экраном (см. раздел о работе блока ЦП). При этом видеопроцессор всегда программно отключен от линий A15_CP и A14_CP, которые управляют работой страничного мультиплексора. Таким образом, в это время в режиме обычного экрана A15_CP=0, A14_CP=1, SCRA16=0, страничный мультиплексор скоммутирован на передачу на выход сигналов секции А, и, таким образом, сигналы A17…A14, определяющие старшие 4 бита адреса ОЗУ, установлены в значение «0010», чему соответствует область памяти ОЗУ, отведённая под обычный экран. В режиме теневого экрана A15_CP=0, A14_CP=0, SCRA16=0, страничный мультиплексор скоммутирован на передачу на выход сигналов секции А, и, таким образом, сигналы A17…A14, определяющие старшие 4 бита адреса ОЗУ, установлены в значение «0000», чему соответствует область памяти ОЗУ, отведённая под теневой экран.

Read attributes of color occurs during the output lines of the upper and lower borders is similar to reading a video. In this case, if bit 7 of the attribute set, i.e., enabled flicker of familiarity, occurs when the need for its correction – colored bits of paper and bits of ink colors are reversed. The attributes stored in the internal memory of the controller.

During the forward stroke of the beam during the formation of the image occurs cyclically output to the port B data of the corresponding register, shift left LSL command data of the register and one clock cycle delay. After the last (eighth) video port cycle is repeated again until the end of the output line image. The attribute byte is read from the internal RAM by using commands POP after the withdrawal of the penultimate bit of each byte of video data, and the output port of color attributes – PORTE – occurs immediately prior to displaying the next byte of video data. Thus in port E of the controller on lines Y/2:PG:PR:PB:IG:IR:IB code is present the color of the paper (PG:PR:PB), color code ink (IG:IR:IB) and the signal gradation of the brightness Y/2, which are intended to videomultiple D3 74AC257D (SOIC-16). The PIXEL signal thus determines the value of the color – paper color (PIXEL=0) or ink color (PIXEL=1) involved in the formation of the video signal.

At the beginning of each frame (that is, with a frequency of 50 Hz) video processor generates a signal MINT, the active level 0, which is input to the analog comparator CPU for the formation of the masked interrupts.

Block RAM with paged построен на микросхеме статического ОЗУ D5 CY7C1049D-10VXI (возможная замена – AS7C4096A-12JIN), корпус SOJ-36, объёмом 512 К, регистре-защёлке младшего адреса D6 74AC373MTC (возможная замена – MC74AC373DT), корпус TSSOP-20 и страничном мультиплексоре D7 74AC257D, корпус SOIC-16. Пространство, адресуемое ЦП, составляет диапазон от 0X0000 до 0XFFFF (64 К). Старшие 16 К этого пространства (с адреса 0XC000 по 0XFFFF) отведены под страничную память, и управляются страничным мультиплексором D7. Для этого сигналы A15_CP и A14_CP, являющиеся двумя старшими сигналами адресной шины, объединены логической схемой «ИЛИ», выполненной на резисторе R10 и диодах VD1, VD2. При обращении к старшей странице памяти оба сигнала A15_CP и A14_CP находятся в состоянии «1» и напряжение на управляющем входе страничного мультиплексора высокое, так что он скоммутирован в режим «B» и на его выходах (цепи A17, A16, A15, A14) присутствуют сигналы MUXA17, MUXA16, MUXA15, MUXA14, определяющие номер подключенной страницы памяти. При обращении к любой другой странице памяти страничный мультиплексор скоммутирован в режим «A» и на его выходах (цепи A17, A16, A15, A14) присутствует прямой адрес обращения к памяти (численно соответствует 0:0:A15_CP:A14_CP).

The value of the low address when accessing the RAM is overwritten in the register-latch D6 lowest address on the rising edge of the ALE signal. Reading the activation signal ~RD, and the post – activation signal ~WR.

Block musical coprocessor emulator выполнен на микроконтроллере D8 ATTINY2313A-SU (возможная замена – ATTINY2313-20SU), корпус SOIC-20, и представляет из себя самостоятельное устройство, подсоединяемое к соединителю XP3 основной платы при помощи соединителя XS6.

The device has two audio output – left and right channel. The audio signal generated by pulse width modulation (PWM). The components of R44, R46 and C22 realized right LPF, and the elements R43, R45 and C21 – left channel. BQ2 quartz resonator provides the frequency generation for the first harmonic series resonance 28 MHz. This microcontroller is in the mode of "acceleration", and, as practice shows, is absolutely stable. The device is powered from the +5V circuit main Board, capacitors C23 and C24 act as additional filters supply.

Интерфейс управления эмулятором состоит из восьмибитной однонаправленной шины данных (сигналы A15_CP, A14_CP, A13…A8) и шины управления, включающей в себя цепи BC1 и BDIR, аналогичные по назначению одноимённым сигналам AY8910(12).

Despite the fact that real music coprocessor AY8910(12) has 3 audio channels A, b, C, in the emulator are only two: L and R Channel And connected to the left L, right channel R and the channel In the software are split and mixed between channels L and R. Also the beeper signal supplied to the input PD4 of the microcontroller (signal /BEEP), software is divided and mixed between channels L and R. Thus formed ready stereo output.

3. Assembly

Although properly assembled and programmed the device starts working immediately after power on, there is a definite sequence of Assembly that will help to avoid possible errors due to installation and fault elements. During installation it is necessary to observe some rules that will help avoid the output elements of the system:

  1. when soldering, use a solder with a low melting point, the temperature of soldering tips should not exceed 300 °C;
  2. the soldering tip must be grounded;
  3. soldering should be used a wrist;
  4. The workplace should be well lit;
  5. After each Assembly operation it is necessary tester in continuity mode to check the mounted site in the absence of short circuits, particularly between adjacent leads of the chips and between the power circuits;
  6. After each Assembly operation it is recommended to wash off traces of flux (rosin) Board with alcohol-gasoline mixture and thoroughly dried cost;
  7. Working with SMD mounting should be properly equipped workplace with good lighting;
  8. During Assembly, use the Assembly drawing (see figure 3).

Before you start, you need to carefully check the circuit Board for the absence of technological faults (breaks and short circuits of the printed conductors of defective metallization vias), especially in places that at installation will be hidden in the hulls of the elements after installation, this will be much harder. PCB should be in accordance with figure 2

Figure 2 – view of the PCB (top and bottom side)

2

Fig. 2 and

3

Fig. 2 b

3

Figure. 3.

Figure 3 – Assembly drawing Board

Stacking the keyboard controller

Install on the printed circuit Board elements XS5, C16, C1, C4, C6, R11, R17, R26, BQ1, D4. When installing, use the Assembly drawing (see figure 3).

Note – D4 microcontroller before installing the Board needs to be programmed. Information on programming, see "programming".

Check the charge in the absence of short circuits and move to the connector XS5 power supply voltage +5V. As power source you can use charger cable to mini-USB connector. Using the tester check the voltage on pin 20 of the microcontroller D4 – it should be in the range of from 4.8 to 5.2 V. Check the voltage at pin 6 of the microcontroller D4 (output clock frequency) – it should be in the range from 2.3 to 2.7 V. failure to comply With these requirements it is necessary to find and repair the fault.

The assembly unit of the video and the video signal generation unit

Установите на печатную плату элементы R1…R9, R12…R15, R20…R24, R27…R33, R35…R41, C3, C5, D1, VD3…VD5, VT1…VT5, XP1. К контактам K7 (общий) и K6 (выход видео) подпаяйте технологический разъём RCA (Female) «Тюльпан». Проверьте плату на отсутствие коротких замыканий. Для дальнейшей работы потребуется видеоустройство со стандартным видеовходом (телевизор, TVтюнер, монитор со стандартным видеовходом) и программатор типа ByteBlaster MV (http://www.zx-kit.ru/katalog-1/zxkit-003-1). Connect the power and make the programming of the video (information on programming, see "programming"). Adjust the monitor to the video input and plug the video output device. On the screen there should be a black and white image similar to Fig. 4

The image must be clearly asinhronisations, the image field should have a clearly defined rectangular shape, must be different shades of gray and border. Also, the image must be present field flicker – the change of the live image is inverted and back with a frequency of about 4 Hz. Change border color and logo color developer "LISITSINDEVELOPMENT" at the top of the curb. If these requirements are not met, you must find and repair the fault.

Disconnect the device from the monitor and power supply.

Figure 4 – video device without the block RAM.

4

Fig. 4.

Building block RAM

Install on the printed circuit Board elements R10, VD1, VD2, C7, D5, C8, C9, D6, D7.

Connect with short conductors pin 1 and pin 5 D7 paging multiplexer to a common bus (GND). This will bring the multiplexer D7 of the page mode and record the address A16 of the RAM. Check the charge in the absence of short circuits.

Connect the device to the monitor and power source. On the screen there should be a black and white image similar to Fig. 5

Figure 5 – video device block RAM without CPU

5

Fig. 5.

Should be clearly defined rectangular video field borders and border. The image must have a stable horizontal and vertical sync. There is a breakdown of the image on familiarity. Within each there must be constant familiarity grayscale paper and ink, drawing (filling of familiarity) to be unchanged. This allowed the flicker of familiarity with a frequency of about 4 Hz. Should different shades of gray. Change the color of the border. Failure to comply with these terms to search and Troubleshooting.

Disconnect the device from the power source and the monitor. Desolder the wires connecting the terminals paging multiplexer to a common bus.

Assembling unit CPU

Установите на печатную плату элементы R18, R19, R42, C10…C15, D2, DA1, XP2, XP3, XS1 и XS3. Проверьте плату на отсутствие коротких замыканий.

Подключите устройство к программатору через разъём XP2 и произведите программирование ЦП (указания по программированию см. в разделе «программирование»).

После программирования, если не было допущено ошибок, устройство начинает работать. На экране после старта появится изображение в соответствии с выбранной моделью ZX Spectrum (см. рис. 6 – основное меню Pentagon 128). Для проверки работоспособности можно произвести загрузку тестов и другого программного обеспечения «с ленты» или micro-SD карты. Если тесты и программы исполняются корректно, можно переходить к сборку эмулятора музыкального сопроцессора AY8910.

6

Fig. 6.

Stacking musical coprocessor emulator

Emulator music coprocessor AY8910(12) is assembled on a separate Board (see Fig. 3), which comes complete with main Board.

Установите на печатную плату элементы C17…C24, R44…R46, BQ2, D8, XS6. Проверьте плату на отсутствие коротких замыканий.

Note – D4 microcontroller before installing the Board needs to be programmed. Information on programming, see "programming".

Примечание 2 – в качестве кварцевого резонатора вместо указанного на схеме DSX321G 28 МГц возможно использование кварцевого резонатора HC49US 28 МГц (необходим кварцевый резонатор, работающий на основной частоте резонанса), который устанавливается в позицию BQ2* платы.

Подстыкуйте собранную плату к основной посредствам соединителя XS6 как показано на титульном рисунке.

Если блок эмулятора музыкального сопроцессора был собран без ошибок и все элементы исправны – он начинает работать сразу после включения питания и в настройке не нуждается.

4. Подключение устройства и проверка работоспособности

Для подключения AVRZXSpectrumV2_0 с получением цветного изображения требуется видеоустройство (монитор) с RGBвходом, либо видеоустройство со стандартным видеовходом и кодер цветности PAL (SECAM). Для подключения AVRZXSpectrumк VGA монитору необходим RGB-VGA конвертор (например, http://www.zx-kit.ru/katalog-1/zxkit-001).

Подключение AVRZXSpectrumV2_0 следует выполнять в соответствии с таблицей 1, пользуясь рис. 3

После подключения к видеоустройству подключите к соединителю XS1 клавиатуру. Для удобства работы можно наклеить на клавиши наклейки для клавиатуры ZXSpectrum, распечатанные на самоклеящейся бумаге (файл изображения находится в папке проекта).

Для подключения к устройству «магнитофона» потребуется аудиокабель «Джек 3,5 – Джек 3,5», при помощи которого соединитель XS3 стыкуется ко входу или выходу «магнитофона», в качестве которого может использоваться любое аудиоустройство с линейным выходом (входом). При работе с аудиоустройством следует отключать эквалайзер и все звуковые эффекты.

К соединителю XS2 (выход аудио) подключаются активные компьютерные колонки или вход усилителя НЧ, работающего на колонки. Можно также подключать высокоомные наушники.

Table 1

Контакт платы Назначение сигнала Контакт SCART Footnote
K1 RGB ON 16 Переключение видеоустройства в режим RGB (для SCART)или цепь питания +5 В (для кодеров PAL (SECAM)). При работе с кодером PAL(SECAM) установите вместо резистора R36 перемычку.
K2 SYNC 20* The synchronization signal
K3 BLUE 7 Blue color component
K4 RED 15 The red color component
K5 GREEN 11 Green color component
K6 GND 4,5,9,13,17 The total chain
K7 VIDEO 20* Out BW video
K8 LEFT 6 The output of the left channel audio
K9 RIGHT 2 The output of the right channel audio

* На вход синхронизации SCART (вывод 20) подаётся сигнал с выхода синхронизации SYNC устройства либо с его ЧБ видеовыхода, в зависимости от типа монитора.

В последнюю очередь к соединителю XS5 подключается внешний источник питания (например, зарядное устройство с кабельным соединителем mini-USB), чего происходит старт прошитой системы.

Работа с AVRZXSpectrumV2_0 ни чем не отличается от работы с обычным ZXSpectrum, поэтому на любые связанные с этим вопросы можно получить из многочисленных книг по ZXSpectum. Для проверки работоспособности устройства можно загрузить и пройти такие тесты, как TESTPROG, AUMT, Z80TESTS, ZEXALLи т. п. Загрузить эти тесты можно как с «магнитофонного» входа, так и с SDкарты (см. раздел «Работа в SDDOS»).

Work in SD DOS.

Дисковая операционная система SD DOS предназначена для работы с картами памяти micro-SD в качестве внешнего накопителя. Карту памяти micro-SD для работы в SD DOS необходимо предварительно отформатировать в файловой система FAT32 и записать на неё файлы ZX Spectrum поддерживаемого формата. В настоящее время поддерживаются файлы формата .Z80 и .SNA. Список поддерживаемых форматов файлов расширяется.

Старт SD DOS происходит при нажатии на клавиатуре клавиши «F12». При этом если карта памяти не вставлена, на экране появляется сообщение «INSERT SD CARD» с просьбой вставить карту в соединитель. Как только карта вставлена, начинается диалог с ней на аппаратном уровне. Результат диалога отображается на экране. Так, например, можно видеть сообщение «SD CARD DETECTED», информирующее об обнаружении карты памяти, сообщение «INITIALISING … OK» говорит о нормальном завершении процесса инициализации SD карты, сообщение «FAT32 DETECTED» говорит о том, что файловая система FAT32 обнаружена. В конце диалога системы с картой появляется сообщение «STARTING COMMANDER … », предшествующее старту программы SD Commander, инструменту работы с файлами (см. рис. 7).

7

Рис. 7 – старт файлового менеджера SD Commander

SD Commander представляет из себя две панели навигации (левую и правую) по файловой системе (файлам и директориям). В панелях отображаются списки файлов в выбранной директории (по умолчанию – в корневом каталоге). Навигация по директориям и файлам осуществляется при помощи клавиш управления «вниз» (следующий файл), «вверх» (предыдущий файл или предыдущий уровень вложения), «вправо» (переход в правую панель), «влево» (переход в левую панель), «ENTER» (выбор файла или директории). Таким образом, для запуска файла необходимо пройти к нему по пути и выбрать его нажатием «ENTER».

Для сохранения файла на карту в формате .SNA необходимо в требуемый момент времени нажать и не отпускать клавишу F11, затем нажать F12. При запросе системы ввести имя файла с указанием расширения .SNA. Сохранённый файл появится в текущей директории.

В SD DOS также доступны следующие операции над файлами/директориями: переименование (F4), перемещение (F6), удаление (F8), создание ( F7 – для директорий).

Функции SD DOS расширяются, планируется поддержка самых распространённых форматов файлов для ZX Spectrum и всех стандартных операций работы с файлами, также планируется поддержка всех функций SD DOS на уровне команд Z80 типа EDхх, не занятых в микропроцессоре Z80. Также планируется функция смена программного обеспечения AVR ZX Spectrum с карты памяти, посредствам запуска ROM-файла, который будет записываться с карты памяти во внутреннюю FLASH память ЦП, что позволит не только обновлять ПО, но и загружать другие версии ZX Spectrum совместимых машин. О последних версиях программного обеспечения и новых функциях SD DOS можно узнавать у разработчика (контакты в конце статьи).

5. Программирование

В схеме устройства четыре микроконтроллера AVR, требующие программирования. Два из них – контроллер клавиатуры D4 и контроллер эмулятора музыкального сопроцессора D8 – программируются до монтажа на плату, а оставшиеся два – видеопроцессор D1 и центральный процессор D2 программируются после установки на плату через специально предусмотренные разъёмы для внутрисхемного программирования XP1 и XP2 соответственно.

При программировании потребуется внутрисхемный программатор, использующий ISP-интерфейс – сигналы MOSI? MISO, SCK и RESET. Возможная схема программатора, подключающегося к компьютеру через LPT порт, приведена на рисунке 8 (http://www.zx-kit.ru/katalog-1/zxkit-003-1).

Рисунок 8 – схема LPT программатора ByteBlaster MV

6

Рис. 8.

Схема программатора сделана на основе ALTERA ByteBlaster MV (http://www.altera.ru/cgi-bin/go?35)
и адаптеров для программы AVREAL (http://real.kiev.ua/old/avreal/ru/adapters.html).
Для прошивки микроконтроллера ATMEL выведен дополнительный разъем по стандарту ATMEL.
Применяется один и тот же десятижильный шлейф, который подключается к соответствующей паре разъемов.
Питание платы программатора берется с прошиваемой платы.

Для программирования будем подключаться через соединитель XP2 (ALTERA). В качестве ПО можно использовать программу Ponyprog или AVREAL. Программатор требует напряжения питания +5В, которое в случае программирования D4 и D8 подаётся от внешнего стабилизатора, а в случае программирования D1 и D2 подводится от платы AVR ZX Spectrum, подключенной к источнику питания.

Для прошивки контроллера клавиатуры D4 и контроллера эмулятора музыкального сопроцессора D8 будем использовать файлы …\\Soft\ Контроллер клавиатуры (D4)\ zxkeyboard_v2_0.hex и … \\Soft\Эмулятор AY (D8)\ AY_Emul.bin соответственно. Эти модули прописываются во FLASH память микроконтроллеров и являются исполняемыми программами. Программирование EEPROM не требуется. Биты конфигурации должны быть установлены в соответствии с таблицей 2

Table 2 - Controller configuration bits D4 and D8

Bit configuration For controller

D4 *

Comment For controller

D8 *

Comment
LOCK1 ~ Protection

at your discretion

~ Protection

at your discretion

LOCK2 ~ ~
Doin
EESAVE ~ EEPROM can not be used ~ EEPROM can not be used
SPIEN In Default SPI allowed In Default SPI allowed
WDTON WDT is not used WDT is not used
BODLEVEL2 Reset to reduce the supply voltage

included

Reset to reduce the supply voltage

included

BODLEVEL1 In In
BODLEVEL0 In In
RSTDISBL Default Default
CKDIV8 Dividing off frequency Dividing off frequency
CKOUT In The output clock frequency is allowed
SUT1
SUT0 In
CKSEL3

Configured external crystal

Configured external crystal

CKSEL2
CKSEL1
CKSEL0 In

* «V» означает активное (запрограммированное, «0»), «-» – неактивное (не запрограммированное, «1»), состояние бита конфигурации, «~» – на усмотрение пользователя.

При программировании видеопроцессора D1 и центрального процессора D2 программатор подключается к разъёму XP1 и XP2 соответствен6но и к устройству подводится питающее напряжение. Во FLASH память D1 зашивается файл …\\Soft\Видеопроцессор (D1)\videocpu.hex из папки проекта, во FLASH память D2 зашивается один из файлов …\\Soft\Центральный процессор (D2)\Pentagon128.hex (ZX_Spectrum_48K.hex, ZX_Spectrum_128K.hex, Open_se_basic 48 К.hex, Open_se_basic 128 К.hex) из папки проекта в зависимости от желаемой модели ZX Spectrum. Кроме того, в EEPROM память D2 зашивается файл …\\ Центральный процессор (D2) \DAA_TABLE.hex из папки проекта, который является таблицей результатов и флагов для эмуляции команды DAA Z80.

При прошивке также устанавливаются (программируются, «0») следующие биты конфигурации (одинаково для D1 и D2): EESAVE, BOOTRST, CKSEL3, CKSEL2, CKSEL1, CKSEL0.

Примечание – при программировании D1 рекомендуется устанавливать D2 в режим сброса установкой джампера на контакты 5 и 6 разъёма XP2, а при программировании D2 рекомендуется устанавливать D1 в режим сброса установкой джампера на контакты 5 и 6 разъёма XP1.

6. Обратная связь

Если у Вас есть замечания, пожелания по усовершенствованию устройства или вопросы к разработчику, просьба писать на Vasil.lisitsin@yandex.ru.

Buy ready-made device or a printed circuit board for self-assembly AVR ZX Spectrum In2_0 можно у разработчика, электронная почта Vasil.lisitsin@yandex.ru, ICQ 615692808, Василий Лисицын. Также у автора можно узнать о последних версиях ПО и новых функциях устройства, получить консультацию по сборке, программированию устройства и поиску неисправностей.

Автор выражает свою благодарность Ивану Косареву (Санкт-Петербург), Игорю Титарь (Магнитогорск) и участникам Zx.pk.ru за содействие при разработке проекта.

AVR ZX Spectrum V2_0 topAVR ZX Spectrum V2_0AVR ZX Spectrum V2_0 bottomАвтор выражает свою благодарность Ивану Косареву (Санкт-Петербург), Игорю Титарь (Магнитогорск) и участникам Zx.pk.ru за содействие при разработке проекта.

Архив к проекту:

[hidepost] Download [/hidepost]

Видео о Радиоконструкторе AVR ZX Spectrum V2_0:

admin

11 Comments

  1. WordPress database error: [Table './meandr_base/anzpz_usermeta' is marked as crashed and last (automatic?) repair failed]
    SELECT user_id, meta_key, meta_value FROM anzpz_usermeta WHERE user_id IN (193) ORDER BY umeta_id ASC

">class="comment byuser comment-author-lisitsin even thread-even depth-1">

В настоящее время в AVR ZX Spectrum реализована поддержка TR-DOS. Последнюю версию прошивки можно взять здесь: http://zx-pk.ru/showthread.php?t=23671&page=8
Для открытия диска TR-DOS необходимо через дисковую операционную систему SD-DOS (которая также поддерживается) выбрать файл образа TR-DOS диска с расширением .TRD и на запрос SD-DOS указать один из 4 дисководов, в которые будет вставлен данный диск – A, B, C или D. Далее – запустить TR-DOS любым стандартным для ZX Spectrum образом (через главное меню или из бейсика). Далее дать команду TR-DOS. Например, List – для просмотра каталога диска, Load – для загрузки с диска, Load “Filename” – для запуска файла с именем Filename.
Видео:

    • Модуль TurboSound с интерфейсом Kempston джойстика для AVR ZX Spectrum успешно разработан, проект поддерживается, желающие приобрести обращаются к разработчику)

  • WordPress database error: [Table './meandr_base/anzpz_usermeta' is marked as crashed and last (automatic?) repair failed]
    SELECT user_id, meta_key, meta_value FROM anzpz_usermeta WHERE user_id IN (7045) ORDER BY umeta_id ASC